PRODUCT CENTER
产品中心

Hitachi High Resolution Inspection System

Product introduction

The HR-series High resolution inspection system was developed by Hitachi company in 2002, integrating many exclusively developed technique of Hitachi. It realizes accurate inspection by its super-high speed, high accurate image and stable operation, meeting the demands of strict quality standards of pharmaceutical companies.

Applicable products

Ampules, Vials, Oral Liquid Bottles, Infusion Bottles, Eye Drop Bottles, Cartridges, Prefilled Syringes

Detail drawing
View big picture
View big picture
View big picture
Inspection items



Product features

(1)Infeed

• Fast infeed with supply booster(up to 600pcs/min)

• Sensors monitoring containers fall down

• Automatic control of infeed speed, monitoring amount of containers

 (residual quantity)










(2)Inspection rotor

• Inspection accuracy with high resolution area sensor

• Dual optical system to detect black and white particles

• Short flash strobe lighting to inspect amber colored products

• Accurate and various spin control with direct spin motor

• Precise oscillating technology









(3)Sorting part

• Transport for stable sorting(No vacuum mechanism)

• Accurately countinginspected containers

• Sorting containers by the inspection result(accepted, rejected,uninspected)









TECHNICAL
PARAMETER
SOLUTION
Ampule Packaging Line
BACK